英特尔能否重振旗鼓再度出发?

发布于:2021/7/30 9:28:53 | 1935 次阅读

    近日,英特尔召开制程工艺和封装技术线上发布会。会上,英特尔CEO帕特·基辛格表示,英特尔正在通过半导体制程工艺和封装技术来实现技术的创新,并公布有史以来最详细的制程工艺和封装技术发展路线,且表示将在2025年“收复失地”,重新夺回芯片市场的霸主地位。为何英特尔此次能有这般信心?最新发布的未来技术路线能否真正帮助到在此前命运多舛的英特尔,并使之重振旗鼓再度出发?
    种种挑战为英特尔敲响警钟
    作为全球最大的个人计算机零件和CPU制造商,英特尔曾称霸市场数十年之久。然而,在近十年,英特尔却开始面临空前的竞争压力和挑战。先是在移动市场全面败给ARM,后是在人工智能领域被英伟达反超,在制造方面也被台积电逆袭。
    在芯片制程方面,英特尔也可谓是屡遭不顺,除了小幅度提升频率以及进行小小的规格改动外,近年来英特尔在制程换代的进度上并没有很大的突破。先是10nm制程技术的多次重大延期,完全打乱了英特尔的发展节奏;后是被寄予厚望的英特尔7nm制程芯片也进入了“难产”的困境,这直接导致了英特尔的大客户苹果选择与其“分道扬镳”,结束了长达15年的合作,而选择在产品中引入自研的ARM架构芯片。在此期间,英特尔最大的竞争对手台积电可谓是一路顺风顺水,台积电5nm制程芯片已实现大规模量产,此外,台积电仍在推进更先进的4nm和3nm制程工艺的量产,并将在今年第三季度开始对4nm工艺进行风险试产。
    种种的竞争压力无时无刻不在给英特尔敲响警钟,因此,为了扭转英特尔在半导体行业竞争中的颓势,帕特·基辛格上任后提出了IDM2.0计划,将未来英特尔的制造变革为:“英特尔工厂+第三方产能+代工服务”组合。其中有几大关键信息:一是投资200亿美元在美国建两座晶圆工厂;二是全面对外提供代工服务,以美国和欧洲工厂为基地,抢台积电生意;三是扩大外包订单量;四是与IBM联合研发下一代逻辑芯片的封装技术。
    可见,作为IDM2.0战略的一部分,英特尔正在通过半导体制程工艺和封装技术来加快自身的发展节奏,这也是英特尔立志在2025年之前重返产业巅峰的关键所在。
    有史以来最详尽技术发展路线
    在此次发布会中,英特尔公布了有史以来最详细的制程工艺和封装技术发展路线,而无论是制程工艺,还是封装技术,都可谓是亮点重重,打破了许多原有的模式和理念,让人们看到了英特尔崭新的一面。
    发布会上,帕特·基辛格介绍,数十年来,芯片制程工艺节点的名称以晶体管的栅极长度来命名。然而如今,整个行业对于芯片工艺节点的命名也开始多样化,这些多样的方案既不再指代任何具体的度量方法,也无法体现如何能够实现能效和性能的平衡。因此,英特尔从性能、功耗和面积等各方面进行了综合考虑,对芯片制程工艺采用新的命名体系。先前,有媒体称,英特尔有意斥资300亿美元收购晶圆代工大厂格芯,而这或许与英特尔全新的制程工艺发展线路息息相关。
    在发布会上,英特尔公布了未来5年芯片制程的技术路线图,并采用了新的命名体系,分别是Intel 7(此前称之为10纳米Enhanced SuperFin)、Intel 4(此前称之为Intel 7纳米)、Intel 3以及Intel 20A。其中,Intel 7工艺拥有最佳的FinFET晶体管,与Intel 10nm SuperFin相比,每瓦性能将提升大约10%~15%;在Intel 4中,将完全采用EUV光刻技术,可使用超短波长的光刻印极微小的图样;在Intel 3工艺中将实现与Intel 4相比每瓦性能约18%的提升。
    在Intel 3工艺后,芯片制程将会越来越接近1纳米节点,进入更微小的埃米时代。因此,Intel 3之后的工艺,英特尔也改变了命名方式,命名为Intel 20A,将凭借RibbonFET和PowerVia两大突破性技术开启埃米时代。英特尔研究院副总裁、英特尔中国研究院院长宋继强表示,之所以在Intel 3以后不是直接变成Intel 2,而是变成Intel 20A,这是英特尔为了把握住未来技术而采用的一个新的命名规则。“因为我们知道未来还有很多路径节点可以走,但是我们不可能一步达到,所以必须把时间线拉长。”宋继强说道。
    对于封装技术,英特尔也提出了四点发展路线,分别是EMIB技术、Foveros技术、Foveros Omni技术以及Foveros Direct技术。其中,EMIB将成为首个采用2.5D嵌入式桥接解决方案的技术;Foveros将利用晶圆级封装技术,提供史上首个3D堆叠解决方案;Foveros Omni将通过高性能3D堆叠技术,使得裸片到裸片的互连和模块化设计变得更加灵活;Foveros Direct将可实现铜对铜键合的转变,也可以实现低电阻的互连。
    能否卷土重来迎头赶上
    面对这一系列的调整和布局,英特尔究竟能否如愿迎头赶上呢?
    英特尔如此这般操作,事实上也证明了自己在晶圆代工以及封装方面的实力,且迎来了高通、AWS两大客户。英特尔介绍,在晶圆代工方面,英特尔将与高通公司合作开发Intel 2A工艺,且于不久前宣布与高通签订协议,将为高通提供代工服务。在封装方面,英特尔也宣布,AWS 将成为首个使用英特尔代工服务(IFS)中封装解决方案的客户。
    对于英特尔的此番布局,Real World Technologies的分析师大卫·坎特认为:“英特尔已经在研究如何部署新材料和技术方面,付出了很多时间和精力,以提高芯片性能。未来几年,英特尔在某些领域或许能够迎头赶上并超越台积电。”
    芯谋研究总监王笑龙认为,随着工艺不断演进,英特尔会有一些落后产能出现空置的情况,而代工业务可以帮助该公司消化这些产能,这也是英特尔发展代工领域并采用IDM模式的好处。
    然而,英特尔想要追赶台积电、三星,也并非易事。王笑龙表示,首先,英特尔进军代工业务后,将面临部分业务与客户之间产生竞争关系,例如CPU、服务器等,会影响其与客户之间的合作。其次,英特尔的生产线长期以来只为自己的设计部门服务,代工方面的服务意识、服务水平需要花一段时间才能得到客户认可。
    宋继强表示,新技术的发布,在面临技术迭代的同时,也一定会面临一些新的问题,这二者之间是相辅相成的。从学术派的设想,到真正实现生产,需要解决很多的困难,并非一蹴而就。
    “未来在制程和封装方面的技术发展中,一是我们需要突破更多技术难点,二是需要能把技术应用到客户的产品当中。未来也会有很多定制化的需求,这是IFS需要更深度去做的事情,包括我们和厂商之间的合作模式,都需要探索。”宋继强说。
    尽管困难重重,但也无法抵挡英特尔想要卷土重来的决心。如今,距离2025年只有不到4年的时间,英特尔能否在这4年中抓住机遇,重夺霸主地位,值得期待。
参与讨论
后参与讨论

//评论区

推荐阅读

智能网联汽车国际标准法规协调专家组(HEAG)召开工作会议

近年来智能网联汽车快速发展,新技术不断涌现,与相关产业融合度持续提升,正在推动全球汽车产业发生深刻变革。为应对此种形势,欧、美、日等汽车工业发达国家和地区都加大了智能网联汽车的国际标准法规协调的参与力度,在联合国世界车辆法规论坛(UN/WP.29)和国际标准化组织(ISO)层面,智能网联汽车相关国际标准法规协调活动正快速推进。 为更有效地支撑上述组织的国际标准法规协调活动,2017年全国汽车标准

0215jiejie | 发布于:2022-12-01 0评论 0赞

苹果推出搭载M2芯片的新款iPad Pro 799美元起售

据苹果官网,苹果推出搭载M2芯片的新款iPadPro。 11英寸wifi版起售价为799美元,wifi+蜂窝网络版起售价为999美元;12.9英寸wifi版起售价为1099美元,wifi+蜂窝网络版起售价为1299美元。

0215jiejie | 发布于:2022-10-19 0评论 0赞

新能源汽车领衔 “中国智造”加速登陆欧洲市场

全球五大车展之一巴黎车展时隔四年再度启幕。在这场被视为“全球汽车行业风向标”的盛会上,国内外汽车品牌云集,长城汽车、比亚迪等再次领衔中国汽车出海。 长城汽车欧洲区域总裁孟祥军表示:“欧洲是长城汽车最重要的海外市场之一,巴黎车展是长城汽车向欧洲市场展示GWM品牌和产品的最佳机会。长城汽车正在研究汽车行业碳排放的整个生命周期,到2025年,将推出50多款新能源产品,全力支持可再生能源使用,为全球用户

0215jiejie | 发布于:2022-10-19 0评论 0赞

严监管时代来临,电子烟“通配”大战走向何方?

针对通配烟弹厂商的一系列诉讼的结果,将对生产通配烟弹的品牌未来在电子烟行业的发展产生深远影响。 10月1日,《电子烟强制性国家标准》正式实施,中国电子烟监管全面生效。而在电子烟行业进入规范化、法治化阶段前夕,一场围绕着通配烟弹的争论在行业里发酵。 “通配”是电子烟从业者约定俗成的概念。换弹式电子烟由烟杆和烟弹组成,“通配”烟弹指的是非品牌商生产、可与品牌烟杆匹配使用的烟弹。多位业内人士表示,被

0215jiejie | 发布于:2022-10-19 0评论 0赞

Bourns 全新大功率分流电阻器

采用金属感应引脚,专用于大电流应用中进行精确测量 全新分流电阻器专为电池管理系统、大电流工业控制和电动汽车充电站 提供高可靠性、高成本效益的解决方案 美国柏恩Bourns全球知名电子组件领导制造供货商,宣布新增12款CSM2F系列功率分流电阻器,扩展其产品组合。全新系列采用铆接通孔金属传感引脚,可满足大电流应用中对电压测试点精确定位日益增长的需求。最新型Bourns?CSM2F系列分流电阻器

0215jiejie | 发布于:2022-10-18 0评论 0赞

请尊重元宇宙“这个筐”

元宇宙是个筐,啥都往里装,但区别在于有的像聚宝盆,有的像垃圾桶。国庆假期刚结束,中青宝“90后”董事长李逸伦便亲自上阵,玩起了元宇宙婚礼。靠着老板首秀和代言,中青宝顺势推出“MetaLove元囍”App,正式进军元宇宙婚礼赛道。 就产品而言,如同其他元宇宙产品,李逸伦的元宇宙婚礼“新奇与吐槽齐飞”:有人说是有趣的尝试,有人则认为像QQ炫舞结婚系统。要知道,QQ炫舞是一款推出了十余年的老游戏。

0215jiejie | 发布于:2022-10-13 0评论 0赞

边缘计算:突围商业模式痛点

截至8月末,中国5G基站总数达210.2万个,中国5G发展已经进入下半场。随着5G加速融入千行百业,互动直播、vCDN、安防监控等场景率先大规模落地,车联网、云游戏、工业互联网、智慧园区、智慧物流等场景也快速走向成熟,这些更大流量、更低时延、更高性能的场景涌现,对边缘计算的刚性需求势必爆发。 GrandViewResearch预测,即使在新型冠状病毒肺炎疫情肆虐全球的背景下,边缘计算和5G网络市

0215jiejie | 发布于:2022-10-13 0评论 0赞

商务部回应美商务部升级半导体等领域对华出口管制并调整出口管制“未经验证清单”

商务部新闻发言人10日就美商务部升级半导体等领域对华出口管制并调整出口管制“未经验证清单”应询答记者问。 有记者问:近日,美国商务部在半导体制造和先进计算等领域对华升级出口管制措施。同时,在将9家中国实体移出“未经验证清单”过程中,又将31家中国实体列入,请问中方对此有何回应? 对此,商务部新闻发言人回应称,中方注意到相关情况。首先,通过中美双方前一阶段共同努力,9家中国实体zui终

0215jiejie | 发布于:2022-10-13 0评论 0赞

TCL华星官宣与奔驰合作:推出全球首款横贯A柱的车载显示屏

今年1月,奔驰带来了VISIONEQSS概念车,其中控台采用了一块完全无缝的47.5英寸曲面显示屏,横贯整个A柱,令人印象深刻。今天,TCL华星正式官宣与奔驰达成合作,并认领了VISIONEQSS上这块全球首款横贯整个A柱曲面的车载显示屏。 根据TCL介绍,这款显示屏采用了完全无缝的超薄一体化设计,将仪表盘、中控与副驾娱乐显示融为一体,并能够与3D实时导航系统相辅相成。 同时,这块显示屏还采用

0215jiejie | 发布于:2022-10-12 0评论 0赞

半导体板块暴跌 谁最受伤

国庆假期后首日开盘,上证综指时隔5个月再次失守3000点,与此同时,半导体板块也再度走低,其中,北方华创、雅克科技等个股跌停。10月11日早盘期间,半导体板块持续下挫,北方华创、雅克科技再度跌停。截至下午收盘,北方华创、雅克科技维持跌停状态,华海清科、拓荆科技-U、盛美上海、清溢光电、海光信息的跌幅则超10%。同日,半导体板块中的119只个股中超五成呈现下跌趋势。 在半导体板块遭遇下挫的同时,北

0215jiejie | 发布于:2022-10-12 0评论 0赞