您现在的位置:维库电子市场网 > 元器件 > 电源IC > 其他电源IC

直插 DAC0832LCN 芯片 8位数模转换器

供应直插 DAC0832LCN 芯片 8位数模转换器
供应直插 DAC0832LCN 芯片 8位数模转换器
  • 型号/规格:

    DAC0832LCN

  • 品牌/商标:

    直插芯片

普通会员
  • 企业名:珠海汇理源电子科技有限公司

    类型:经销商

    电话: 0756-3359799-807
    0756-3359899
    0756-3359799

    手机:13543067964

    联系人:梁小姐/戴先生/张小姐

    QQ: QQ:3004166848QQ:3004179519

    邮箱:lyl@zhhly.com

    地址:广东珠海珠海九洲大道东段1346号珠海华润大厦1506

商品信息

1主要参数

* 分辨率为8位;
* 电流稳定时间1us;
DAC0832
* 可单缓冲、双缓冲或直接数字输入;
* 只需在满量程下调整其线性度;
* 单一电源供电(+5V~+15V);

* 低功耗,20mW。

2结构

* D0~D7:8位数据输入线,TTL电平,有效时间应大于90ns(否则锁存器的数据会出错);
* ILE:数据锁存允许控制信号输入线,高电平有效;
* CS:片选信号输入线(选通数据锁存器),低电平有效;
* WR1:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存;
* XFER:数据传输控制信号输入线,低电平有效,负脉冲(脉宽应大于500ns)有效;
* WR2:DAC寄存器选通输入线,负脉冲(脉宽应大于500ns)有效。由WR2、XFER的逻辑组合产生LE2,当LE2为高电平时,DAC寄存器的输出随寄存器的输入而变化,LE2的负跳变时将数据锁存器的内容打入DAC寄存器并开始D/A转换。
* IOUT1:电流输出端1,其值随DAC寄存器的内容线性变化;
* IOUT2:电流输出端2,其值与IOUT1值之和为一常数;
* Rfb:反馈信号输入线,改变Rfb端外接电阻值可调整转换满量程精度;
* Vcc:电源输入端,Vcc的范围为+5V~+15V;
* VREF:基准电压输入线,VREF的范围为-10V~+10V;
* AGND:模拟信号地;
* DGND:数字信号地。

3工作方式

根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。
DAC0832引脚功能电路应用原理图DAC0832是采样频率为八位的D/A转换芯片,集成电路内有两级输入寄存器,使DAC0832芯片具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。所以这个芯片的应用很广泛,关于DAC0832应用的一些重要资料见下图: D/A转换结果采用电流形式输出。若需要相应的模拟电压信号,可通过一个高输入阻抗的线性运算放大器实现。运放的反馈电阻可通过RFB端引用片内固有电阻,也可外接。DAC0832逻辑输入满足TTL电平,可直接与TTL电路或微机电路连接。
dac0832应用电路图
dac0832应用电路图:
DAC0832引脚功能说明:
DI0~DI7:数据输入线,TLL电平。
ILE:数据锁存允许控制信号输入线,高电平有效。
CS:片选信号输入线,低电平有效。
WR1:为输入寄存器的写选通信号。
XFER:数据传送控制信号输入线,低电平有效。
WR2:为DAC寄存器写选通输入线。
Iout1:电流输出线。当输入全为1时Iout1。
Iout2: 电流输出线。其值与Iout1之和为一常数。
Rfb:反馈信号输入线,芯片内部有反馈电阻.
Vcc:电源输入线 (+5v~+15v)
Vref:基准电压输入线 (-10v~+10v)
AGND:模拟地,摸拟信号和基准电源的参考地.
DGND:数字地,两种地线在基准电源处共地比较好.
采用DAC0832实现D/A转换。
(一)D/A转换器DAC0832DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如图4-82所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量V0为:
图4-82
公式1
由上式可见,输出的模拟量与输入的数字量(
公式
) 成正比,这就实现了从数字量到模拟量的转换。
一个8位D/A转换器有8个输入端(其中每个输入端是8位二进制数的一位),有一个模拟输出端。输入可有28=256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。图4-83是DAC0832的逻辑框图和引脚排列。
图4-83 DAC0832的逻辑框图和引脚排列
D0~D7:数字信号输入端。
ILE:输入寄存器允许,高电平有效。
CS:片选信号,低电平有效。
WR1:写信号1,低电平有效。
XFER:传送控制信号,低电平有效。
WR2:写信号2,低电平有效。
IOUT1、IOUT2:DAC电流输出端。
Rfb:是集成在片内的外接运放的反馈电阻。 Vref:基准电压(-10~10V)。
Vcc:是源电压(+5~+15V)。
AGND:模拟地 NGND:数字地,可与AGND接在一起使用。
DAC0832输出的是电流,一般要求输出是电压,所以还必须经过一个外接的运算放大器转换成电压。
数/模(D/A)转换器
D/A转换器是接收数字量,输出一个与数字量相对应的电流或电压信号的模拟量接口。
D/A转换器被广泛用于计算机函数发生器、计算机图形显示以及与A/D转换器相配合的控制系统等。
D/A转换原理:数字量的值是由每一位的数字权叠加而得的。D/A转换器品种繁多,有权电阻DAC、变形权电阻DAC、T型电阻DAC、电容型DAC和权电流DAC等。为了掌握数/模转换原理,必须先了解运算放大器和电阻译码网络的工作原理和特点。

联系方式

企业名:珠海汇理源电子科技有限公司

类型:经销商

电话: 0756-3359799-807
0756-3359899
0756-3359799

手机:13543067964

联系人:梁小姐/戴先生/张小姐

QQ: QQ:3004166848QQ:3004179519QQ:3004145375

邮箱:lyl@zhhly.com

地址:广东珠海珠海九洲大道东段1346号珠海华润大厦1506

提示:您在维库电子市场网上采购商品属于商业贸易行为。以上所展示的信息由卖家自行提供,内容的真实性、准确性和合法性由发布卖家负责,请意识到互联网交易中的风险是客观存在的。请广大采购商认准带有维库电子市场网认证的供应商进行采购!

电子元器件产品索引: A B C D E F G H I J K L M N O P Q R S T U V W X Y Z 0 1 2 3 4 5 6 7 8 9