您现在的位置:维库电子市场网 > 元器件 > 集成电路(IC) > 其他IC
商铺首页 公司简介 IC产品 供应产品 诚信档案 客户留言

U74HC165G-8位并行负载移位寄存器

供应U74HC165G-8位并行负载移位寄存器
供应U74HC165G-8位并行负载移位寄存器
VIP会员 第 13
  • 企业名:深圳市科瑞芯电子有限公司

    类型:贸易/代理/分销

    电话: 0755-21001680
    0755-82170220

    手机:
    13925219291

    联系人:林小姐/颜先生

    QQ: QQ:2233965261QQ:44849710

    微信:

    邮箱:koreic@126.com

    地址:广东深圳深圳市宝安区民治梅龙路南源商业大厦1105室(可送货 )

商品信息

U74HC165G 电子元器件 UTC/友顺 封装SOP16T/R 8位并行负载移位寄存器

描述
U74HC165是一个8位并行负载移位寄存器,
当计时时,将数据移向串行(QH)输出。
每个阶段的平行通道由8个人提供
直接数据(a - h)输入,由低电平电平启动
shift/load (SH/ LD)输入。U74HC165还具有一个
时钟抑制(CLK INH)功能和互补串行(Q) H
输出。
时钟通过由低到高的转换来完成
当SH/ LD保持高且CLK INH保持时,时钟(CLK)输入
低。CLK和CLK INH功能可互换。
由于CLK低,INH也有由低到高的CLK跃迁
完成计时时,应将CLK INH调高
只有当CLK高时才会升级。平行加载被抑制时
SH/ LD处于高位。当SH/ LD较低时,并行输入到
寄存器的启用独立于CLK的级别,
CLK INH,或串行(SER)输入。

特性
互补输出
直接覆盖负载(数据)输入
门控时钟输入
并行串行数据转换

联系方式

企业名:深圳市科瑞芯电子有限公司

类型:贸易/代理/分销

电话: 0755-21001680
0755-82170220

手机:
13925219291

联系人:林小姐/颜先生

QQ: QQ:2233965261QQ:44849710

微信:

邮箱:koreic@126.com

地址:广东深圳深圳市宝安区民治梅龙路南源商业大厦1105室(可送货 )

提示:您在维库电子市场网上采购商品属于商业贸易行为。以上所展示的信息由卖家自行提供,内容的真实性、准确性和合法性由发布卖家负责,请意识到互联网交易中的风险是客观存在的。请广大采购商认准带有维库电子市场网认证的供应商进行采购!

电子元器件产品索引: A B C D E F G H I J K L M N O P Q R S T U V W X Y Z 0 1 2 3 4 5 6 7 8 9