芯片设计

芯片设计资讯

Synopsys - 新思科技推出业界首款PCIe 7.0 IP解决方案,加速万亿参数领域的芯片设计

业界唯一完整PCIe 7.0 IP,包含控制器、IDE安全模块、PHY和验证IP,可实现高达512 GB/s的数据传输速度;  预先验证的PCIe 7.0控制器和PHY IP在保持信号的完整性的同时,可提供低延迟数据传输,功耗效率比以前的版本最多可提高50%;  ...

时间:2024/7/9 阅读:16 关键词:新思科技

拜登再宣布一笔半导体补贴:用数字孪生加速芯片设计和生产

拜登-哈里斯政府发布了一份资助机会通知 (NOFO :Notice of Funding Opportunity),征求符合条件的申请人提出建议,以开展建立和运营专注于半导体行业数字孪生的 CHIPS Man...

分类:业界动态 时间:2024/5/7 阅读:424 关键词:芯片

Wi-Fi 7射频IP验证系统发布!思尔芯EDA助力Sirius Wireless加速芯片设计

近日,射频(RF)IP解决方案提供商Sirius Wireless宣布率先推出了自主研发的Wi-Fi 7 RF IP。这一系统的构建是基于思尔芯提供的原型验证EDA工具。作为国内领先的数字EDA供应...

分类:新品快报 时间:2024/4/22 阅读:239 关键词:电子

NVIDIA - 硅谷:设计师利用生成式 AI 辅助芯片设计

芯片工程师展示了一个高度专业化的行业如何使用 NVIDIA NeMo 来定制大语言模型,以获得竞争优势。  10 月 31 日,NVIDIA 发布的一篇研究论文描述了生成式 AI 如何助力芯片设计,后者是当今最复杂的工程工作之一。  这项工作展示了...

时间:2024/1/4 阅读:60 关键词:电子

传部分Arm中国离职高管创办芯片设计公司

几周之前,Arm在美国重新挂牌上市,首次公开募股中筹资50亿美元,市值一度超650亿美元。但一些人才的出走,增加了其在市场中的不确定性。  不愿透露姓名的消息人士透露,...

分类:业界动态 时间:2023/10/17 阅读:304 关键词:Arm

Cadence - 大模型应用:激发芯片设计新纪元

2023 年,生成式 AI 如同当红炸子鸡,吸引着全球的目光。当前,围绕这一领域的竞争愈发白热化,全球陷入百模大战,并朝着千模大战奋进。在这场潮流中,AI 芯片成为支撑引擎,为大模型应用提供强有力的支持。蓬勃发展的大模型应用所带来的...

时间:2023/9/1 阅读:90 关键词:光传感器

AMD 计划扩大人工智能在芯片设计中的使用

AMD已经开始使用人工智能来设计芯片。事实上,AMD 首席执行官 Lisa Su 认为,随着现代处理器的复杂性呈指数级增长,人工智能工具最终将主导芯片设计。 AMD 首席执行...

分类:名企新闻 时间:2023/7/11 阅读:426 关键词:AMD人工智能

大模型风暴“卷”至芯片设计

大模型带来的AI算力风暴已经蔓延至芯片设计领域。英伟达创始人兼首席执行官黄仁勋认为芯片制造是加速计算和AI计算的“理想应用”;AMD首席技术官Mark Papermaster透露,目...

分类:业界动态 时间:2023/7/5 阅读:328 关键词:芯片

OPPO终止旗下芯片设计公司ZEKU业务

根据消息,手机厂商OPPO将终止其芯片公司ZEKU的业务。 oppo称对于全球经济,手机市场需求的问题,经过考虑,终止对ZEKU业务,并会一如既往的做好产品,创造价值。

分类:名企新闻 时间:2023/5/12 阅读:342 关键词:OPPO

谷歌宣布,所有芯片设计都已经上云

很多人都知道谷歌是因为它的搜索工具、谷歌地图和安卓等软件服务,但你知道谷歌还开发了自己的专用硬件吗?Google 在内部设计芯片,用于机器学习超级计算机、Pixel 手机、...

分类:名企新闻 时间:2023/3/29 阅读:388 关键词:谷歌

芯片设计技术

嵌入式FPGA:改变芯片设计方式

由于嵌入式 FPGA 是一项新技术,因此我们首先强调它与已经存在了数十年的标准 FPGA 的不同之处。基本上,嵌入式 FPGA 是一个 IP 块,允许将完整的 FPGA 集成到 SoC 或任何...

设计应用 时间:2023/11/8 阅读:518

LTC6803-3芯片设计动力锂电池的监控系统

LTC6803器件面向混合动力 / 电动汽车 (HEV)、电动汽车 (EV) 以及其他高压、高性能电池系统。LTC6803 是一款完整的电池测量 IC,包含一个 12 位 ADC、一个精确的电压基...

设计应用 时间:2022/11/21 阅读:1621

DC-DC开关电源管理芯片设计(下)

是国家的重点项目,同时芯片设计也是我国摆脱进口依赖与自主独立的关键。本文对于芯片设计的讲解承接于《芯片设计实例篇,DC-DC 开关电源管理芯片设计(上篇)》一文,如果你...

设计应用 时间:2020/2/10 阅读:637

DC-DC开关电源管理芯片设计(上)

同时芯片设计也是国家重点发展项目。因此对于芯片设计,我们应该具备一定了解。往期文章中,小编曾对芯片设计的基础内容予以介绍。本文中,为增进大家对芯片设计的理解,特...

设计应用 时间:2020/2/10 阅读:456

采用单片机和MCP2510、MCP2551芯片设计集散型火灾报警控制系统

随着经济建设的发展,社会对火灾报警控制系统的规模的要求越来越大,为了适应市场的需要,笔者利用CAN现场总线技术,设计出了一种集散型火灾报警控制系统,该系统结构灵活...

设计应用 时间:2020/1/13 阅读:580

瑞芯微RK3188芯片设计资料大全

RK3188是一款低功耗、高性能的个人手机处理器。移动互联网设备和其他数字多媒体应用,并集成四核Cortex-A9,带有单独的Neor和FPU协处理器。  更多的芯片资料和简介在闯客...

设计应用 时间:2019/3/22 阅读:2658

基于FPGA与VHDL语言实现音频处理芯片设计与仿真分析

目前,信号处理技术、通信技术和多媒体技术的迅猛发展都得益于DSP[3]技术的广泛应用。但是对于便携式和家用的语音系统而言,基于一般的DSP芯片的设计方案并不理想。首先DSP的芯片成本以及开发成本在现阶段仍然是比较高的,尤其是芯片成本...

设计应用 时间:2019/1/8 阅读:823

Synopsys新思科技推出Platform Architect Ultra满足下一代AI芯片设计需求

支持最新AI 芯片架构,实现云计算和边缘计算应用最佳性能和功耗要求重点:   · 智能映射与优化AI芯片架构的CNN,从而满足高性能和低功耗的平衡。   · Platform Architect Ultra独特的技术、功能和AI参考系统支持整合、分析和优化...

新品速递 时间:2018/12/21 阅读:723

如何采用CY7C68013芯片设计图像采集系统

由于图像采集系统采集的数据量大,带宽要求高,以往的图像采集系统通常使用PCI总线实现。但是计算机本身配置的PCI接口数量非常有限,而且拆装PCI接口设备需要打开机箱,一...

设计应用 时间:2018/11/6 阅读:546

FPGA学习系列:内存128M的flash芯片设计

FLASH闪存 闪存的英文名称是"Flash Memory",一般简称为"Flash",它属于内存器件的一种,是一种不挥发性( Non-Volatile )内存。闪存的物理特性与常见的内存有根本性的差异:...

设计应用 时间:2018/9/14 阅读:696

芯片设计产品