积电

积电资讯

2018年美国数量下降 仅中国出现增长台积电第6华为居16

经过多年的增长和创纪录的2017年,美国实用专利申请数量在2018年有所下降。近日发布的年度IFI CLAIMS分析也指出,已公布的授予专利前申请数量有所下降,由于2016年和2017年...

分类:业界动态 时间:2019/1/10 阅读:473 关键词:华为台积电

台积电三星布局3nm,“极限工艺战”开打

近日,台积电3nm工厂正式通过环评,投资约1347亿元的3nm项目将于2020年开始建厂,预计2022年底到2023年初量产。与此同时,三星晶圆代工业务负责人在IEDM(国际电子器件大会)...

分类:业界动态 时间:2019/1/9 阅读:637 关键词:三星台积电

台积电强攻5nm!猛砸250亿美元,与三星Intel相爱相杀20年

随着研发成本越来越高,高精尖纳米制程成了越来越少部分玩家的战场,不仅芯片先进制造工艺的研发成本高、芯片设计的成本也跟着水涨船高。   在PC和手机的出货量开始走下坡路的当下,不少机构对于今年全球半导体市场的走势持悲观态度。...

分类:业界动态 时间:2019/1/4 阅读:395 关键词:三星台积电

2018台积电的惊与喜

台积电2018年在先进制程大有斩获,继续独揽苹果与手机客户大单,加上虚拟货币这块「天上掉下来的礼物」,使得年营收上看史上的万亿新台币(单位下同)。不过6月以后,创办人张忠谋正式退休,苹果iPhone新机销售不顺,资安防范号称滴水不...

分类:业界动态 时间:2019/1/3 阅读:632 关键词:台积电

台积电通吃华为新芯片订单

华为虽然面临中美贸易战及财务长被调查的双重营运压力,但持续加快自有客制化芯片开发,并且抢在年底前发布多款针对资料中心、高速网络、固态硬盘(SSD)等人工智能及高效...

分类:业界动态 时间:2018/12/26 阅读:530 关键词:华为台积电

台积电扩产,台湾工程企业受惠

晶圆代工厂台积电积极扩产,达欣工程运营受惠,今年接获台积电超过50亿元(新台币,下同)订单。   达工不仅接获台积电中科晶圆15厂第6期与第7期新建工程案,还接获台积电位于南科的3纳米晶圆18厂基桩工程案。   据了解,台积电3纳...

分类:名企新闻 时间:2018/12/25 阅读:674 关键词:台积电

技术争夺战打响:台积电3纳米工厂通过环评,预计2020年开建

日前,台湾主管部门宣布,台积电3nm工厂环评已经正式通过了当地的环境评测,这个总投资规模约200亿美元的项目进入了一个新阶段。按照常规流程,这座家3nm工艺的芯片制造厂,会在2020年动工,最快2022年底量产。    外媒在报道中表示...

分类:业界动态 时间:2018/12/24 阅读:348 关键词:台积电

台积电3nm晶圆厂环差方案通过 2020年动工,2022年量产

在10nm开铺生产线这个节点的时候,全球有能力研发更先进工艺的半导体制造公司就剩下英特尔、台积电及三星了,其中台积电在7nm及以后的节点工艺上进度是最快的,目前几乎垄...

分类:名企新闻 时间:2018/12/21 阅读:814 关键词:3nm晶圆台积电

骄傲!国产5nm蚀刻机通过验证,进入台积电5nm生产线

作为全球头号代工厂,台积电的新工艺正在一路狂奔,7nm EUV极紫外光刻工艺已经完成首次流片,5nm工艺也将在2019年4月开始试产。   不过众所周知,半导体工艺是一项集大成的高精密科技,新工艺也并非台积电自己完全搞定,而是依赖整个...

分类:业界动态 时间:2018/12/19 阅读:544 关键词:5nm台积电

中微半导体5纳米刻蚀机通过台积电验证

近期中微半导体自主研制的5纳米等离子体刻蚀机经台积电验证,性能优良,将用于全球首条5纳米制程生产线。5纳米相当于头发丝直径的二万分之一,是集成电路制程工艺最小线宽。台积电宣布2019年将进行5纳米制程试产、预计2020年量产。

分类:名企新闻 时间:2018/12/19 阅读:784 关键词:半导体台积电

7nm帮助台积电在第三季度达成创纪录的收入

据业内人士透露,7nm将帮助台积电在第三季度达成创纪录的收入之后,第四季度继续创造新高。同时,尽管传闻苹果砍掉了一部分A12处理器订单,台积电仍然预计到明年会有100多...

分类:名企新闻 时间:2018/12/18 阅读:450 关键词:7nm台积电

三星2020年量产3纳米、赶超台积电?恐怕有变数

2018下半年,内存市场的行情与上半年截然相反,过山车式的降价仍未见底,业内看待明年市场是顾虑重重。据外资花旗银行报告表示,2019年NAND Flash方面将会降价45%,DRAM 则...

分类:行业趋势 时间:2018/12/14 阅读:1060 关键词:三星台积电

三星放言2020年量产3nm芯片 在下个节点决胜台积电

对于三星的晶圆代工业务来说,即将过去的2018堪称流年不利。在7nm节点上,三星毫无疑问地已经完败于台积电——进度落后到就连自家的猎户座Exynos 9820处理器都没用上自家的7nm EUV。而对比之下台积电已然一家独大,全球60%的晶圆代工市场...

分类:名企新闻 时间:2018/12/13 阅读:453 关键词:驱动半导体

富士康与台积电11月份营收亮眼,冲淡苹果悲观情绪

自从iPhone XR上市后,由于创新不足、价格过高等原因,消费者们对新iPhone兴趣逐渐降低。许多关于苹果供应链销量不佳、被砍单的消息不断传出,市场上对于苹果的销售保持着相当负面的态度,也连带使得苹概股全部惨兮兮,苹果市值陆续被微...

分类:业界动态 时间:2018/12/13 阅读:615 关键词:富士康苹果台积电

台积电大砍预算,半导体未来比想象更严峻?

受到中美贸易战、智慧手机销售趋缓的冲击,台积电传出认为2019 年景气恐欠佳,决定勒紧裤带,不但将主管的商务用车降级,人员商务旅行的次数也遭删减。    6 日引述熟知内情的消息人士报导,台积电已要求副总等级的主管,将租赁的商...

分类:名企新闻 时间:2018/12/11 阅读:368 关键词:台积电