积电

积电资讯

台积电南京厂正式量产!大陆

台积电昨(31)日上午在大陆南京市举行晶圆十六厂开幕暨量产典礼,目前南京厂月产能为1万片,预计在2020年达到2万片的规模。   台积公司董事长刘德音致词表示,将会按照创办人张忠谋的擘划,将台积电南京建设为台积电全球化的重要基地。...

分类:名企新闻 时间:2018/11/1 阅读:491 关键词:台积电

高通骁龙855年底亮相 放弃三星采用台积电7纳米制程

供应链消息指出,高通(Qualcomm)下一代骁龙855处理器目前已完成流片,使用台积电7纳米制程技术,支援QC 5.0快速充电,还整合人工智能运算NPU单元,预计将在2018年底前亮相,而搭配骁龙855处理器的终端设备要到2019年第1季才会问世。 ...

分类:业界动态 时间:2018/10/31 阅读:496 关键词:高通三星台积电骁龙855

为解14nm产能燃眉之急 Intel或已选定将Atom交由台积电代工

即便是增加了十数亿美元的资本支出,看来仍无法缓解爆炸性的处理器需求。   据Digitimes报道,上游供应链的消息人士透露,Intel已经决定将部分入门级处理器的生产外包,...

分类:业界动态 时间:2018/10/31 阅读:510 关键词:14nmIntel

高通骁龙855采用台积电7nm;英特尔14nm产能不足AMD能否超越;IBM收购Linux;高通求削减苹果索赔权限

芯闻详情      1.高通骁龙855完成设计定案,将采用台积电7nm      据消息,手机芯片龙头高通新款旗舰手机芯片已完成设计定案(tape-out),确定将采用台积电7奈米制程,供应链传出,高通新款手机芯片已经在第四...

分类:业界动态 时间:2018/10/31 阅读:922 关键词:高通英特尔

台积电CoWoS封装发力,扩大公司优势

台积电不仅在晶圆代工制程持续,并将搭配封装技术,全力拉开与三星电子(Samsung Electronics)、英特尔(Intel)差距,台积电日前揭露第四代CoWoS(Chip on Wafer on Substrate...

分类:名企新闻 时间:2018/10/26 阅读:550 关键词:CoWoS台积电

台积电7nm不能改变大局,AMD依然落后Intel

独立研究机构New Street Research上周五发表报告看衰超微(AMD),并下修目标价至18美元。超微上周五闻讯重挫11.12%,收在23.66美元,预估潜在跌幅还有两成以上(23%)。   不认为7 纳米可改变大局,是分析师Pierre Ferragu 主要理由...

分类:业界动态 时间:2018/10/23 阅读:349 关键词:台积电

台积电:28nm仍非常重要

随着半导体工艺的急剧复杂化,曾经天下的Intel都已经步履维艰,GlobalFoundries甚至索性直接放弃了7nm及其后的工艺,三星的局面也不容乐观,只有台积电还在一路狂奔,似乎整个行业都要仰仗他了。台积电CEO魏哲家在18日的投资者大会上披露...

分类:名企新闻 时间:2018/10/22 阅读:519 关键词:28nm台积电

台积电:旗下8吋硅晶圆代工生产线产能出现松动

据中国台湾地区媒体报道,台积电证实,旗下8吋晶圆代工生产线产能松动。  此前就有不少外资相继出具报告指出,继12吋硅晶圆需求松动后,原本缺货的8吋硅晶圆也因重复下单后而造成产能出现松动。而近日台积电证实,该公司8吋晶圆代工生...

分类:名企新闻 时间:2018/10/22 阅读:357 关键词:硅晶圆生产线台积电

今年将有50多款芯片基于台积电7nm代工:骁龙新旗舰SoC在内

虽然本周三星宣布7nm LPP量产,且还导入了EUV光刻技术,但事实是,基于台积电7nm打造的苹果A12芯片、华为麒麟980等都已经商用,三星7nm的成品仍旧是个未知数,保守来说,或许要等到明年的新Exynos SoC和骁龙5G基带面世了。   在18日的...

分类:名企新闻 时间:2018/10/19 阅读:438 关键词:台积电

台积电7nm制程发威:Q3营收占比11%、明年客户过百!

全球晶圆代工龙头台积电在法说会上公布其第三季度业绩。在过去这一季度里,台积电出货曾遭病毒感染事件影响,但得益于7nm制程的强劲需求,该公司第三季营收依然实现了增长。     财报显示,台积电第三季度实现营收约新台币2603.5亿...

分类:行业趋势 时间:2018/10/19 阅读:572 关键词:7nm台积电

台积电:预计第四季度加密挖矿芯片销售将会疲软

77家国产芯片概念股2018年中报净利润排名中,三安光电(600703)位列榜首,净利润达18.53亿元,同比增长22.32%,占营业总收入44.40%。   国产芯片概念上市公司2018年中报净利润排名   作为国内LED行业龙头企业,三安光电的发展正朝...

分类:名企新闻 时间:2018/10/19 阅读:461 关键词:台积电

台积电的隐忧!

据DigiTimes 报导,台积电(TSMC)将成为苹果2019年A13芯片的供应厂;而这代表台积电芯片的全球市占率很可能超过60%。   据统计,2018年上半年度台积电芯片于全球的市占率达56%,而如果台积电真成为苹果的供应链,2019年很可能将突破6...

分类:业界动态 时间:2018/10/17 阅读:283 关键词:台积电

7纳米需求强劲,台积电Q4业绩有望创历史新高

晶圆代工厂台积电法人说明会将于18日登场,法人预期,在7 纳米制程出货畅旺带动下,台积电第4季业绩可望改写历史新高纪录。   半导体产业景气杂音浮现,法人预期,随着工业及车用市场需求趋缓,加上英特尔(Intel)个人电脑中央处理器(C...

分类:名企新闻 时间:2018/10/16 阅读:416 关键词:7纳米台积电

台积电将获苹果A13芯片订单,市场占有率有望超六成

台媒Digitimes援引产业链人士的消息称,近期苹果确认了2019年A13芯片全数交给了台积电。此前,台积电拿下了华为、高通、联发科、超微、NVIDIA等公司的大笔芯片订单。2018年...

分类:名企新闻 时间:2018/10/15 阅读:665 关键词:A13芯片台积电

四大硅片厂各怀鬼胎齐扩产,台积电磨刀霍霍准备大砍价

全球半导体产业警钟大响,之前极度吃紧的原物料“硅片”(Wafer)恐从“掌上明珠”变成人人砍杀的“阶下囚”。近期环球晶圆宣布斥资 4.28 亿美元扩产 12 寸产线,且传出另 3 家硅片厂 Siltronic AG 、 SUMCO 、信越也都在台面下进行扩产...

分类:名企新闻 时间:2018/10/13 阅读:386 关键词:硅片台积电