GUC 采用台积电 3nm 和 CoWoS 技术流片 UCIe 32G IP

类别:业界动态  出处:网络整理  发布于:2024-01-10 09:46:08 | 305 次阅读

   ASIC Global Unichip Corp. (GUC) 今天宣布,已成功流片通用 Chiplet Interconnect Express (UCIe) PHY IP,每通道 32Gbps,是的 UCIe速度,适用于 AI/HPC/xPU/网络应用。UCIe 32G 小芯片接口提供业界领先的带宽密度,每 1mm 芯片边缘 10 Tbps(5 Tbps/mm 全双工)。该芯片采用台积电的N3P硅工艺和CoWoS先进封装技术。
  近年来,GUC 在台积电 N7、N5 和 N3 工艺节点中开发了一系列小芯片互连 IP (GLink-2.5D)。GLink-2.5D IP 在芯片中进行了验证,展示了高达 17.2 Gbps 的稳健运行,原始 BER<1E-20。内置 CRC 检查器和重传缓冲区可实现 <<1 FIT,满足严格的汽车可靠性要求。GLink-2.5D 支持全系列 TSMC 2.5D 技术(CoWoS-S/R/L、InFO_oS)。
  UCIe 联盟制定了小芯片接口互操作性的愿景。GUC 的 UCIe 实施利用了多代经过硅验证的 GLink-2.5D IP,以的风险路径实现具有性能参数的 UCIe。GUC 还使用 UCIe 流协议为 AXI、CXS 和 CHI 总线开发了桥接器。这些桥针对高流量密度、低功耗、低数据传输延迟和高效的端到端流量控制进行了优化,促进从单芯片 NoC 到小芯片架构的无缝过渡。这些桥支持动态电压和频率调节 (DVFS),允许动态改变电压和总线频率,同时确保数据流不间断。
  GUC 的 UCIe IP 支持 UCIe-1.1 预防性监控功能。它包括 proteanTecs 的 I/O 信号质量监视器,该监视器已在 GLink-2.5D 系列中进行了测试。在任务模式下、数据传输期间监控信号质量,无需重新训练或导致任何数据传输中断。每个信号通道都受到持续监控和单独报告,并检测电源和信号完整性事件。凸块和痕迹缺陷在导致接口故障之前就被识别出来。然后修复算法用冗余通道替换边缘通道,以防止系统运行故障,从而延长芯片寿命。
  “我们很自豪地宣布推出世界上第一个支持 32 Gbps 的 UCIe IP,”GUC 营销官 Aditya Raina 说道。“我们在台积电的 7nm、5nm 和 3nm 技术上建立了完整的经过硅验证的 2.5D/3D 小芯片 IP 产品组合。结合 TSMC 3DFabric 产品(包括 CoWoS、InFO 和 SoIC)的设计知识、封装设计、电气和热仿真、DFT 和生产测试,我们为客户提供强大而全面的解决方案,实现快速设计周期并快速启动他们的 AI/HPC/xPU/网络产品。”
  “我们致力于提供快、功耗的 2.5D/3D 小芯片和 HBM 接口 IP,”GUC 技术官 Igor Elkanovich 说道。“使用 HBM3/4、GLink-2.5D/UCIe 和 GLink-3D 接口融合 2.5D 和 3D 封装可实现高度模块化,比未来的标线尺寸处理器大得多。”
关键词:台积电

全年征稿 / 资讯合作

稿件以电子文档的形式交稿,欢迎大家砸稿过来哦!

联系邮箱:3342987809@qq.com

版权与免责声明

凡本网注明“出处:维库电子市场网”的所有作品,版权均属于维库电子市场网,转载请必须注明维库电子市场网,https://www.dzsc.com,违反者本网将追究相关法律责任。

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

热点排行

广告