High NA EUV光刻机价格曝光,量产万事俱备

类别:业界动态  出处:网络整理  发布于:2024-02-27 10:40:17 | 409 次阅读

  本周,在 2024 年先进光刻 + 图案化会议上,全球领先的纳米电子和数字技术研究与创新中心 IMEC 将展示 EUV 工艺、掩模和为实现高数值孔径(High-NA)极紫外(EUV)光刻而准备的计量学。报告了抗蚀剂和底层开发、掩模增强、光学邻近校正(OPC)开发、分辨率场拼接、减少随机故障以及改进计量和检测方面的主要成就。
  有了这些结果,imec 就可以将 EUV 工艺转移到imec-ASML 联合高数值孔径 EUV 实验室中,该实验室是围绕第一台高数值孔径 EUV 扫描仪原型构建的。
  imec 图案化、工艺和材料副总裁 Steven Scheer 表示:“第一台高数值孔径 EUV 扫描仪 (TWINSCAN EXE:5000) 已由 ASML 组装完成,第一批晶圆将很快曝光。在接下来的几个月中,imec-ASML High-NA EUV 联合实验室将投入运营,并将向 High-NA 客户提供访问权限。高数值孔径 EUV 实验室配备了已安装的设备和工艺,可让客户在工具在工厂运行之前尽早开始高数值孔径 EUV 学习。IMEC 的职责是与 ASML 和我们扩展的供应商网络密切合作,确保及时提供先进的抗蚀剂材料、光掩模、计量技术、(变形)成像策略和图案化技术。2024 年 SPIE 光刻和图案化会议上发表的超过 25 篇论文表明了这些工艺已为实现高数值孔径做好了准备。”
  场拼接是高数值孔径的关键推动因素:由于变形镜头(即在 x 和 y 方向上具有不同放大倍数的镜头),需要进行场拼接,从而导致场尺寸为传统扫描仪场尺寸的一半。Imec 将分享基于与 ASML 和我们的掩模车间合作伙伴在imec NXE:3400C 扫描仪上完成的工作实现分辨率拼接的见解。分辨率拼接将减少为应对场尺寸减小而进行设计更改的需要。
  在材料和工艺方面,很明显金属氧化物抗蚀剂(MOR)仍然处于金属线/空间图案的领先地位。Imec 将展示 MOR 在 EUV 剂量产量降低方面的进展。特定底层的选择、开发过程的优化、掩膜吸收体的选择、掩膜偏差和掩膜色调导致线条和空间的剂量减少了 20% 以上,而没有增加粗糙度或随机故障。此外,到的尺寸并未受到这些剂量减少活动的负面影响。剂量减少工作仍在继续,并受到我们芯片制造商的高度赞赏,因为它会因扫描仪吞吐量的提高而降低 EUV 成本。
  通过使用 MOR 抗蚀剂和二元明场掩模进行接触孔图案化,获得了意想不到的结果。与在同一叠层中转移的正色调化学放大抗蚀剂 (CAR) 和二元暗场掩模相比,图案转移后剂量减少了 6%,局部 CD 均匀性 (LCDU) 提高了 30%。用于接触孔的明场掩模的另一个问题是掩模质量和缺陷率。这需要仔细研究才能使 MOR 成为接触孔的选择。在此之前,带有暗场掩模的正色调 CAR 抗蚀剂将成为高数值孔径 EUV 中接触和通孔图案化的主要候选者。
  高数值孔径还需要改进计量和检测,提供更高的分辨率(通过高数值孔径)和更薄的薄膜(通过减小焦深 (DOF))。Imec 将展示电子束和深紫外 (DUV) 检测的新结果,表明新的方法 (BKM) 已到位,可以发现高 NA 相关的随机图案故障,例如六边形接触孔。此外,还将提出几种机器学习技术(基于去噪 SEM 显微照片)来促进小缺陷的检查和分类。
  ,imec 和合作伙伴将介绍通过源掩模优化和变形掩模 OPC(考虑拼接的需要)实现的成像改进。
  量产时间浮现,真实价格曝光
  根据英特尔更新的路线图,高数值孔径 EUV 光刻技术暂定于 2026 年投入量产。
  该芯片公司透露,英特尔将在 2027 年之前部署 ASML 的高数值孔径 EUV 工具。执行官 Pat Gelsinger 在该公司的 IFS Direct Connect 活动上发表讲话时确认,即将推出的 14A 节点将利用高数值孔径。尽管没有宣布明确的时间安排,但考虑到该公司近每年至少一个节点的节奏,可以肯定地假设 14A 计划于 2026 年进行。英特尔表示,上述18A节点有望在今年下半年开始大批量生产。
  这一时间点与 ASML 的预测相符,ASML 表示,业界将在 2026/2027 年期间大规模采用高数值孔径。不过,台积电和三星尚未宣布下一代 EUV 工具的计划。有报道称,由于成本问题,推出已被推迟。ASML 对这些指控进行了反击,英特尔告诉 Tom's Hardware,高数值孔径对于该公司的目的来说是具有成本效益的。
  英特尔CEO Pat Gelsinger在接受媒体采访时表示,公司在高数值孔径机器上投入这么多资金之前,会非常仔细地研究它。一台 EUV 机器大约需要 2.5 亿美元,一台高数值孔径机器大约需要 4 亿美元,那么经济有效吗?我们已经非常仔细地研究过,双图案化与使用高数值孔径单图案化时的不同。得出的结论是,我们可以让经济学以及与之相关的事物发挥作用。
  当然,现在你必须获得那些你能够获得的更紧密的pitch的价值,但我们正在非常仔细地考虑它。我们认为与其他一些多重图案技术和一些可以完成的自对准技术相比,它表现得很好。我们认为它会结合在一起,我们对此感到非常兴奋。当然,对于场尺寸(field size),如果你采用更大的场尺寸,这就会成为一个问题,我正在挑战 ASML 和我的掩模制造团队,让我采用更大的掩模尺寸,这样我们就可以恢复场尺寸,也许更大的掩模尺寸可以使 EUV 整体上更加经济。
  确保经济发展面临着很大的压力,因为其中一件事是,当我们进入 EUV 一代时,摩尔定律的经济学停止了。我必须将经济学重新纳入摩尔定律,因此不仅要制造更快的晶体管、更低功率的晶体管,还要制造更便宜的晶体管。我们的首要任务是确保摩尔定律的经济性处于 EUV 过渡的另一边。
  尽管如此,英特尔的快速采用也并非没有风险。该公司加入 EUV 派对的时间非常晚——其第一个全功能 EUV 节点(Intel 4)不久前才开始量产。在制造中有效使用高数值孔径仍然面临一些挑战,而且总体上相对缺乏 EUV 经验无助于克服这些障碍。另一方面,英特尔目前在全球领先的半导体领域处于劣势,可能需要一两个经过计算的风险才能重新占据领先地位。

  High NA EUV光刻,你需要知道的五件事

  芯片制造商依靠微缩——制造更小的晶体管并将更多的晶体管封装到硅晶圆上——来推动微芯片技术的进步。这并不是改进芯片的方法;例如,新颖的架构也可以提高性能。但摩尔定律本质上成为普遍法则是有原因的 :50 多年来,“收缩”一直是计算能力指数级增长的幕后推手。

  多年来,我们一直在将深紫外 (DUV) 光刻技推向极限。为了减小可打印的特征的尺寸(称为临界尺寸 (CD)),我们可以转动两个主要旋钮:光的波长 λ 和数值孔径 NA。然而,现在我们的 DUV 系统中已经没有多少空间可以调整这些旋钮了。
  EUV 光刻使我们能够对波长旋钮进行重大调整:它使用 13.5 nm 光,而分辨率 DUV 系统则使用 193 nm 光。当我们的第一个预生产 EUV 光刻平台 NXE 于 2010 年首次发货时,它的 CD 从 DUV 的 30 nm 以上下降到 EUV 的 13 nm。
  高数值孔径(High NA) EUV 是我们不断追求微缩的下一步。与 NXE 系统一样,它使用 EUV 光在硅晶圆上打印微小特征。通过调整 NA ,我们可以提供更好的分辨率:名为 EXE 的新平台为芯片制造商提供 8 纳米的 CD。这意味着他们可以打印比 NXE 系统小 1.7 倍的晶体管,从而实现晶体管密度高 2.9 倍。
  我们如何在高数值孔径 EUV 系统中获得更高的分辨率?芯片制造商为何投资新技术?这对你来说意味着什么?请继续阅读以下文章以了解更多信息。
  1、更大的变形光学器件,成像更清晰
  高数值孔径 EUV 光刻技术的主要进步是新的光学器件。名称中的“NA”指的是数值孔径——衡量光学系统收集和聚焦光线的能力。它被称为High NA EUV,因为我们将 NA 从 NXE 系统中的 0.33 增加到 EXE 系统中的 0.55。NA 越高,系统的分辨率就越高。
  蔡司的高数值孔径 EUV 反射镜测试
  实现数值孔径的增加意味着使用更大的镜子。但更大的镜子会增加光线照射到刻线的角度,刻线上有要打印的图案。在较大的角度下,掩模版会失去反射率,因此图案无法转移到晶圆上。这个问题本来可以通过将图案缩小 8 倍而不是 NXE 系统中使用的 4 倍来解决,但这需要芯片制造商改用更大的掩模版。
  相反,EXE 采用了巧妙的设计:变形光学。该系统的镜子不是均匀地缩小正在打印的图案,而是在一个方向上将其缩小 4 倍,在另一个方向上缩小 8 倍。该解决方案减少了光线照射十字线的角度并避免了反射问题。重要的是,它还允许芯片制造商继续使用传统尺寸的掩模版,从而限度地减少了新技术对半导体生态系统的影响。
  2、更快的工作台,更高的生产率
  由于采用变形光学器件,EXE 系统的曝光场大小是其 NXE 前身的一半。因此,对单个晶圆进行图案化需要两倍的曝光次数。
  开放式、完全组装的 TWINSCAN EXE:5000
  两倍的曝光次数可能意味着打印晶圆的时间延长一倍。相反,我们将其视为一个挑战。解决方案?更快的晶圆和掩模版台。EXE 系统中的晶圆台加速至 8g,是 NXE 晶圆台速度的两倍。EXE 的十字线阶段的加速速度(reticle stage accelerates)是 NXE 的四倍 – 32g,相当于一辆赛车在 0.09 秒内从 0 加速到 100 公里/小时。
  凭借其新平台,TWINSCAN EXE:5000 每小时可打印超过 185 个晶圆,与已在大批量制造中使用的 NXE 系统相比有所增加。我们制定了到 2025 年将产能提高到每小时 220 片晶圆的路线图。这种生产力对于确保将高数值孔径集成到芯片工厂对于芯片制造商来说在经济上可行至关重要。
  3、更简单的制造以提高成本效率
  高数值孔径 EUV 光刻将使芯片制造商能够在的微芯片上打印的特征。但与此同时,芯片制造商并没有只是袖手旁观。他们找到了其他方法,通过使用更复杂的生产工艺来解决光刻系统的分辨率限制。
  这些解决方法是有代价的。它们增加了生产时间,并提供了额外的机会引入可能影响芯片性能的缺陷。EXE:5000 的 CD 为 8 纳米,使芯片制造商能够简化其制造流程。结果?更经济高效地生产先进微芯片。
  4、通用性和模块化可实现更好的性能
  EXE:5000 代表了 EUV 光刻技术的发展,而不是一场革命。我们尽可能多地重用了现有的 EUV 技术,并且仅更改了提供系统分辨率和生产力增强所必需的方面。而且,与我们的 NXE EUV 系统一样,EXE 系统由可以在集成到完整系统之前进行独立测试的模块组成。
  为什么我们在整个 EUV 光刻系统中优先考虑通用性和模块化?因为这样我们的所有系统都会受益于 20 多年 EUV 开发的经验教训。使用经过尝试和测试的技术可以降低出现问题的风险。这些模块简化了系统的安装和集成到客户晶圆厂的过程。这意味着系统将更快地开始生产芯片——我们的客户将在 2024 年至 2025 年开始研发,并在 2025 年至 2026 年进入大批量生产。
  快速的时间表对每个人来说都是个好消息:这些系统越早开始打印的芯片,它们所支持的技术就越早可用。
  组装 TWINSCAN EXE:5000
  5、改进的芯片功能、性能和能效
  EXE:5000 的 8 nm 分辨率意味着芯片制造商可以将更多晶体管封装到单个芯片中。更小的晶体管更加节能——这意味着芯片将能够用更少的资源做更多的事情。因此,EXE:5000 打印的微小特征将构成微芯片的基础。而且,由于系统的生产力,芯片制造商可以大量制造这些芯片。
  芯片创新在当今的数字世界中变得越来越重要。消费者期望新型和新一代的电子设备体积更小、功能更多、更好、更快。借助高数值孔径 EUV 光刻技术,芯片制造商可以满足这些消费者的需求。
  第一批使用 EXE:5000 制造的芯片将是 2 nm 节点逻辑芯片。类似晶体管密度的存储芯片也将随之而来。这些芯片将把微小的功能与领先的架构相结合,为未来的技术提供动力:机器人、人工智能、物联网等等。
  Hyper NA光刻机,ASML的下一个目标
  ASML技术官Martin van den Brink在ASML 2023年年度报告中写道:“NA高于0.7的Hyper-NA无疑是一个机会,从2030年左右开始,这种机会将变得更加明显。”“它可能与Logic相关,并且需要比“高NA EUV”双图案化更实惠,但它也可能是DRAM的一个机会。对我们来说,关键是Hyper-NA正在推动我们的整体EUV能力平台,以改善成本和交货时间。”
  ASML目前的EUV工具包括low NA模型,其具有0.33 NA光学器件,可实现 13.5 nm的临界尺寸(CD)。这足以通过单次曝光图案产生26 nm的金属间距和25-30 nm到的近似互连空间间距。这些尺寸足以满足 4nm/5nm级生产节点的需要。尽管如此,业界仍然需要3nm的21-24nm间距,这就是为什么台积电的N3B工艺技术被设计为使用Low-NA EUV双图案打印来打印尽可能的间距。这种方法被认为非常昂贵。
  具有0.55 NA光学器件的下一代High NA EUV系统将实现8nm的CD,这足以打印约16nm的金属节距,这对于超过3nm的节点非常有用,并且预计即使对于1nm,至少根据Imec的设想是这样。
  但金属间距将变得更小,超过 1nm,因此该行业将需要比 ASML 的 High-NA 设备更复杂的工具。这使我们能够开发出具有更高数值孔径投影光学器件的 Hyper-NA 工具。ASML 技术官 Martin van den Brink 在接受采访时证实 ,正在研究 Hyper-NA 技术的可行性。不过,尚未做出终决定。
  增加投影光学器件的数值孔径是一个成本高昂的过程,涉及对光刻工具的设计进行重大改变。特别是,这包括机器的物理尺寸、开发许多新组件的需要以及成本增加的影响。ASML 近透露,根据配置,低数值孔径 EUV Twinscan NXE 机器的售价为 1.83 亿美元或更高,而高数值孔径 EUV Twinscan EXE 工具的售价将根据配置为 3.8 亿美元或更高 。Hyper-NA 的成本会更高,因此 ASML 必须回答两个问题:它是否可以在技术上实现以及对于领先的逻辑芯片制造商来说是否在经济上可行。只剩下三个领先的芯片制造商:英特尔、三星代工和台积电。日本的 Rapidus 尚未发展成为可行的竞争对手。因此,虽然需要 Hyper-NA EUV 光刻技术,但它必须价格合理。
  “Hyper-NA 的引入将取决于我们能够降低成本的程度,”Martin van den Brink  去年告诉Tweakers.net 。“我曾多次环游世界,并与客户讨论了 Hyper-NA 的必要性和可取性。近几个月,我获得了信心和洞察力,客户希望进一步降低分辨率,因此可能“使用 Hyper-NA 大规模生产逻辑和存储芯片的技术已经存在。这将是下一个十年左右的变化。但这取决于成本。”
  ASML 发言人告诉 Bits&Chips,正在研究 hyper-NA 技术的技术和经济可行性,但尚未做出是否继续实施的决定。他拒绝评论何时做出该决定。考虑到 Van den Brink 提到的 2030 年时间框架以及开发新一代 EUV 扫描仪所需的多年准备工作,期望早日做出承诺并不是没有道理的。高数值孔径技术于 2015 年获得批准,远早于低数值孔径 EUV 被引入大批量生产。
  到 2030 年,芯片制造商可能需要高数值孔径的双图案化,至少对于选定数量的层而言。与此同时,根据Imec去年提出的路线图,尺寸缩放预计将持续到至少 2036 年。这凸显了只要能够满足成本目标,新一代 EUV 扫描仪的潜在机会。
  早在 2022 年,Van den Brink 就对超 NA 的经济可行性表示怀疑。他对 Bits&Chips表示:“如果超数值孔径的成本增长速度与高数值孔径的成本一样快,那么这在经济上几乎是不可行的。”他补充说,他的公司正在探索解决方案,以保持技术在成本方面的可控性。和可制造性。在 2022 年 ASML 投资者日上,Van den Brink 对他的工程师将取得成功表示乐观。“我始终相信技术,所以我相信我们会实现这一目标。”
  2023 年 4 月,Van den Brink 对Hyper NA 业务的信心更加增强。“我曾多次前往世界各地与客户讨论超 NA 的需求和愿望。近几个月,我获得了信心和洞察力,客户希望进一步降低分辨率,以便使用 hyper-NA 大规模生产逻辑和存储芯片的机会已经存在。”
关键词:光刻机

全年征稿 / 资讯合作

稿件以电子文档的形式交稿,欢迎大家砸稿过来哦!

联系邮箱:3342987809@qq.com

版权与免责声明

凡本网注明“出处:维库电子市场网”的所有作品,版权均属于维库电子市场网,转载请必须注明维库电子市场网,https://www.dzsc.com,违反者本网将追究相关法律责任。

本网转载并注明自其它出处的作品,目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。其他媒体、网站或个人从本网转载时,必须保留本网注明的作品出处,并自负版权等法律责任。

如涉及作品内容、版权等问题,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。

热点排行

广告